Skip to main content

Install Modelsim in Ubuntu

Updates (23/09/14): The steps given below are for 32-bit version of Ubuntu. To install Modelsim on 64 bit Ubuntu, please refer to this link. 

What is Modelsim?
  • Modelsim is a hardware simulation and debug environment primarily targeted at smaller ASIC and FPGA design (Ref)
How do I install it?
  • Download Modelsim Linux edition from here 
    • For more information visit me 
  • Go to the download location of the .run file and type chmod +x ModelSimSetup-13.1.0.162.run
    • Visit me for more details
  • Use the command ./ModelSimSetup-13.1.0.162.run install Modelsim
 How do I start Modelsim? 
  • Change your directory to 'Location_where_you_installed_Modelsim'/altera/13.1/modelsim_ase/linuxaloem 
  • Type ./vsim
 Verified on: Ubuntu 12.04 LTS

Comments

  1. I get segmentation fault when I run the last line of code in terminal. How do I get around that?

    ReplyDelete
    Replies
    1. Bro Its coming without any error

      Delete
    2. It's too late. But if you have the error messages, please post it here.

      Delete
    3. Even I'm getting the same. Segmentation error. Ubuntu 18.04.

      Delete
    4. https://gist.github.com/PrieureDeSion/e2c0945cc78006b00d4206846bdb7657
      this helped me to clear that. Even the link mentioned for 64 bit had some errors. Anyway thanks Buddy!!

      Delete
  2. I'm with the same problem. How I run Modelsim??

    ReplyDelete
  3. Can you please post how to install questasim in Ubuntu?

    ReplyDelete
  4. Here is an article I wrote on getting Modelsim running on 64 bit Ubuntu 14.04: http://mattaw.blogspot.com/2014/05/making-modelsim-altera-starter-edition.html

    ReplyDelete
  5. This comment has been removed by the author.

    ReplyDelete
  6. I am getting error when i run the last line of code in terminal. Please tell me the solution.

    ReplyDelete
  7. https://sites.google.com/site/longphanwiki/basic-skills/modelsim-doesn-t-work-with-linux-kernel-3-x

    ReplyDelete
  8. I am getting an error while running ./vsim it says " error while loading shared libraries: libXft.so.2: cannot open shared object file: No such file or directory" .

    ReplyDelete
    Replies
    1. If it's a 64-bit machine, please refer to http://mattaw.blogspot.com/2014/05/making-modelsim-altera-starter-edition.html

      Delete
  9. when i run this command ./ModelSimSetup-13.1.0.162.run , the setup does not start ?? any help

    ReplyDelete
    Replies
    1. What does it show? Is it giving some error, or just getting stuck?

      Delete
  10. while running ./vsim i am getting fatal error Read failure in vlm process(0,0) segmentation fault(core dumped)

    ReplyDelete
    Replies
    1. Is it a 64-bit machine? If so, please refer to http://mattaw.blogspot.com/2014/05/making-modelsim-altera-starter-edition.html

      Delete
  11. I was surfing the Internet for information and came across your blog. I am impressed by the information you have on this blog. It shows how well you understand this subject. sportlifeonline.net/nhlstreams/

    ReplyDelete

Post a Comment

Popular posts from this blog

VIS & VL2MV

18/01/2016: -----------     Installing VIS model checker     ----------------------------         Download from: http://vlsi.colorado.edu/~vis/vis_files_2.4.html         Note: First do the installation of glu and then install vis or vl2mv (order doesn't matter)         Installation: Follow the steps in the VIS README file in http://vlsi.colorado.edu/~vis/vis_files_2.4.html         Pitfalls: Dont extract the vis and glu .tar.gz files using gui. Instead use the commands given below             % gzip -dc /tmp/glu-2.4.tar.gz | tar xf -             % gzip -dc /tmp/vis-2.4.tar.gz | tar xf -         Setting environment for VIS             set VIS_LIBRARY_PATH=$cwd/share     Installing vl2mv:     ----------------         Follow instructions in the README file in http://vlsi.colorado.edu/~vis/vis_files_2.4.html         "make check" will fail since the vl2mv-2.4/examples folder is empty. Don't worry about that

MODELSIM

View schematic of a VHDL file in Modelsim     * Go to SIM tab in the panel after simulation     * Right click on the top module name and ADD -> TO SCHEMATIC -> SELECTED REGION     * Go to SCHEMATIC tab         * Drag and select all items in the region         * Right click on it         * EXPAND NET TO -> DESIGN INPUTS